From c6edb381f5789fb3d93bebad4e532e97e9d6cfd2 Mon Sep 17 00:00:00 2001 From: Wayne Davison Date: Mon, 2 Feb 2004 07:06:50 +0000 Subject: [PATCH] Free the hlink data again. --- hlink.c | 1 + 1 file changed, 1 insertion(+) diff --git a/hlink.c b/hlink.c index 988de701..fe51a234 100644 --- a/hlink.c +++ b/hlink.c @@ -66,6 +66,7 @@ static void link_idev_data(void) hlink_list[from]->flags |= FLAG_HLINK_EOL; hlink_list[to++] = head; } else { + free((char*)head->link_u.idev); head->link_u.idev = NULL; } } -- 2.34.1